Kyoto Maker

Software & Hardware Laboratory Found In Kyoto, 2015.

ModelSim Altera Starter Editionが起動できない問題のパッチ

はじめに Quartus II 14.1 Web Editionをインストールした時に、ModelSim Altera Starter Editionも合わせてインストールしたのですが、ModelSimが起動できない現象が発生して使えない状態が続いてました。これを解決しないことにはシミュレーションができな…

Helioボード: LinuxとFPGAでSDRAMをシェアする

はじめに Helioボードには1GBのDDR3-SDRAMが実装されています。 デフォルトの設定ではLinuxが1GBすべてを使用します。 ただ、そうすると、FPGAからSDRAMを読み書きしようとした場合、Linuxが同じメモリー領域にアクセスされると困ります。 そこで、今回はLin…

Helioボード: オンチップメモリーにDMA転送で書き込む実験

はじめに これまでの実験で、Linuxのユーザーランドからオンチップメモリーに読み書きできるようになりました。 今回は、ユーザーランドからではなく、FPGA内部の信号元から書き込む実験をします。 書き込む内容は、単純なカウンタ回路で生成したストリーム…

Helioボード: システムコンソール入門

はじめに Quartus II付属のシステムコンソールを用いると、FPGA側のLEDなどのペリフェラルに対して簡単に状態取得や制御ができます。(今日知りました^^;) システムコンソールは、SoCボードのLinuxを停止した状態でも使えるため、回路単体でのデバッグに重宝…

Helioボード: ユーザーランドからFPGA側のオンチップメモリーを読み書きする実験

はじめに Helioボードのリファレンスデザインには、FPGA側にオンチップメモリーがすでに実装されています。 今回は、ユーザーランドからこのオンチップメモリーに読み書きしてみます。 ただし、Cでコーディングはせず、コマンドから任意のアドレスに対して読…

Helioボード搭載のAltera Cyclone V SoCのスペックの調べ方

はじめに 今回は、Altera Cyclone V SoCの型番からスペックを調べる方法を紹介します。また、型番を知っていると役に立つ例として、Cyclone V SoCに実装されているオンチップメモリーの容量を型番から調べてみます。 flickr: Daily Disney (Explored) 調べ方…

Helioボード: プッシュボタンの内部バス幅を2→3ビットに拡張する

はじめに Helioボードのリファレンスデザインには、FPGA側のプッシュボタンが押されたらコンソールにそれを通知するサンプルが同梱されています。しかし、実は、Helioボードに実装されている3つのプッシュボタンのうち1つが、このサンプルでは反応してくれま…

HelioボードからSound Blasterで音が鳴るようにする

はじめに 今回は、Sound Blaster Play!をHelioボードに接続して音が鳴るようにしてみます。 大まかな作業としては、サウンドドライバを有効にしたカーネルをビルドして、SDカードにあるカーネルとDevice Tree Blobファイルの更新です。 中々うまくいかず試行…

Helioボード: FPGAに定数を出力する自作モジュールを追加してユーザーランドから値を取得する実験

はじめに 前回、Helioのリファレンスデザインに実装されているSystem IDをユーザーランドから取得する実験をしました。今回は一歩進んで、FPGAに自作モジュールを追加してみます。 と言っても、System IDと同じで定数を返すだけのものです。 ユーザーランド…

Helioボードのリファレンスデザインで実装されているSystem IDを取得する実験

はじめに Helioボードのリファレンスデザインには、System IDと呼ばれるIPコアがFPGAに実装されています。 これは、バスを通して32ビットのIDを返すという単純なIPコアです。 System IDはARMプロセッサからアクセスできるようにインターコネクトされています…

Helioボード同梱のLED制御Webアプリを動かす

はじめに 前回は、HelioボードでLinuxをブートできるようにしました。 今回は、Helio SDイメージに同梱されている、LED制御Webアプリを動作させます。LED制御デモを動作させるのにGolden Hardware Reference Design (GHRD)が必要になるのですが、その理由を…

HelioボードでLinuxをブートする

はじめに Helioボードは、FPGAとARMプロセッサが同居しており、Linuxをブートできます。 今回はHelioボードでLinuxをブートできるようにします。 HelioボードにLAN接続することで、同梱のWebサーバやsshdにPCからアクセスできるようになります。 準備 以下を…

HelioボードでFPGAによるLチカ

はじめに FPGAに入門するため、Macnica Helio SoC評価ボードを購入しました。 今回はHelioボード内蔵のプッシュボタンとLEDを用いてブッシュボタンのON/OFFに応じてLEDを点灯してみます。 完成すると以下のような動作になります。 HelioボードでFPGA入門する…