Kyoto Maker

Software & Hardware Laboratory Found In Kyoto, 2015.

2015-01-01から1ヶ月間の記事一覧

Helioボード: プッシュボタンの内部バス幅を2→3ビットに拡張する

はじめに Helioボードのリファレンスデザインには、FPGA側のプッシュボタンが押されたらコンソールにそれを通知するサンプルが同梱されています。しかし、実は、Helioボードに実装されている3つのプッシュボタンのうち1つが、このサンプルでは反応してくれま…

HelioボードからSound Blasterで音が鳴るようにする

はじめに 今回は、Sound Blaster Play!をHelioボードに接続して音が鳴るようにしてみます。 大まかな作業としては、サウンドドライバを有効にしたカーネルをビルドして、SDカードにあるカーネルとDevice Tree Blobファイルの更新です。 中々うまくいかず試行…

Helioボード: FPGAに定数を出力する自作モジュールを追加してユーザーランドから値を取得する実験

はじめに 前回、Helioのリファレンスデザインに実装されているSystem IDをユーザーランドから取得する実験をしました。今回は一歩進んで、FPGAに自作モジュールを追加してみます。 と言っても、System IDと同じで定数を返すだけのものです。 ユーザーランド…

Helioボードのリファレンスデザインで実装されているSystem IDを取得する実験

はじめに Helioボードのリファレンスデザインには、System IDと呼ばれるIPコアがFPGAに実装されています。 これは、バスを通して32ビットのIDを返すという単純なIPコアです。 System IDはARMプロセッサからアクセスできるようにインターコネクトされています…

Helioボード同梱のLED制御Webアプリを動かす

はじめに 前回は、HelioボードでLinuxをブートできるようにしました。 今回は、Helio SDイメージに同梱されている、LED制御Webアプリを動作させます。LED制御デモを動作させるのにGolden Hardware Reference Design (GHRD)が必要になるのですが、その理由を…

HelioボードでLinuxをブートする

はじめに Helioボードは、FPGAとARMプロセッサが同居しており、Linuxをブートできます。 今回はHelioボードでLinuxをブートできるようにします。 HelioボードにLAN接続することで、同梱のWebサーバやsshdにPCからアクセスできるようになります。 準備 以下を…

HelioボードでFPGAによるLチカ

はじめに FPGAに入門するため、Macnica Helio SoC評価ボードを購入しました。 今回はHelioボード内蔵のプッシュボタンとLEDを用いてブッシュボタンのON/OFFに応じてLEDを点灯してみます。 完成すると以下のような動作になります。 HelioボードでFPGA入門する…